Skip to content
  • Daniel Vetter's avatar
    lib: allow igt_skip_on_simulation outside of fixtures. · a388f3b9
    Daniel Vetter authored
    
    
    Thomas noticed that in simulation mode a lot of the tests fall over
    instead of skipping properly. This is due to recently added
    self-checks which ensure that any call to igt_skip happens either
    within a fixture or subtest block (or it's a simple test without
    subtests). This is to catch bugs since pretty much always not wrapping
    up hardware setup and checks into these blocks is a bug.
    
    Bug simulation skipping is a bit different, so allow that exception.
    Otherwise we'd need to fix up piles of tests (and likely need to play
    a game of whack-a-mole).
    
    Also add a library testcase for all the different variants to make
    sure it really works.
    
    Cc: Thomas Wood <thomas.wood@intel.com>
    Cc: Ben Widawsky <benjamin.widawsky@intel.com>
    Signed-off-by: default avatarDaniel Vetter <daniel.vetter@ffwll.ch>
    a388f3b9